Soft Errors and Tolerance for Soft Errors

نویسندگان

  • Jim Chung
  • N. Derhacobian
  • Jean Gasiot
  • Michael Nicolaidis
  • David Towne
  • Raoul Velazco
چکیده

IC technologies are approaching the ultimate limits of silicon in terms of channel width, power supply and speed. By approaching these limits, circuits are becoming increasingly sensitive to noise. The reduction of VDD voltage and geometry shrinking implies the reduction of node capacitance. This will increase the ICs sensitivity to alpha particles and atmospheric neutrons, creating unacceptable rates of soft-errors. Thus, the "soft errors issue" is definitely becoming a major consideration for any system developer, especially as we move towards low-voltage VDSM devices. Furthermore, defect behavior is becoming more and more complex resulting on increasing number of timing faults that can escape detection by fabrication testing. Thus, systems houses, IDM or IP provider have to get prepared to IC sensitivity to transient errors, even for commodity applications at sea level: the ability to integrate millions of logic gates and megabytes of memory warrants the scrutiny. After a reminder on the state of art in Soft Errors issue, this session aims at providing the main trends in Soft Errors sensitivity concerning commodity ICs (mainly processors), as well as existing and future solutions to deal with that new challenge. Proceedings of the 19th IEEE VLSI Test Symposium (VTS ’01) 1093-0167/01 $10.00 © 2001 IEEE

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

An Evolutionary Method for Improving the Reliability of Safetycritical Robots against Soft Errors

Nowadays, Robots account for most part of our lives in such a way that it is impossible for usto do many of affairs without them. Increasingly, the application of robots is developing fastand their functions become more sensitive and complex. One of the important requirements ofRobot use is a reliable software operation. For enhancement of reliability, it is a necessity todesign the fault toler...

متن کامل

Proposing an Efficient Software-based Method to Enhance Reliability of Computer Systems against Soft Errors

In recent years, along with rapid developments in technology, computer systems haveincreasingly become more integrated and more modular. Indeed, the reliability and efficiency ofcomputer systems are of high significance. Hence, the quantitative evaluation of the optimizationof reliability indexes in computer systems is considered to be a crucial issue. Reliabilityenhancement of computer systems...

متن کامل

An approach to fault detection and correction in design of systems using of Turbo ‎codes‎

We present an approach to design of fault tolerant computing systems. In this paper, a technique is employed that enable the combination of several codes, in order to obtain flexibility in the design of error correcting codes. Code combining techniques are very effective, which one of these codes are turbo codes. The Algorithm-based fault tolerance techniques that to detect errors rely on the c...

متن کامل

On-line soft error correction in matrix-matrix multiplication

Soft errors are one-time events that corrupt the state of a computing system but not its overall functionality. Soft errors normally do not interrupt the execution of the affected program, but the affected computation results cannot be trusted any more. A well known technique to correct soft errors in matrix–matrix multiplication is algorithm-based fault tolerance (ABFT). While ABFT achieves mu...

متن کامل

Period of Grace: A New Paradigm for Efficient Soft Error Hardening

In late-age silicon, soft errors become an issue even for low-margin products. Since classical hardening techniques are associated with costs which may not be acceptable for such ICs, selective hardening which targets only a subset of all possible soft errors has been suggested. We propose a soft error selection method based on severity of an error’s impact on system behavior. Some soft errors ...

متن کامل

Component criticality analysis to minimize soft errors risk

Minimizing the risk of system failure arising from soft errors in any computer structure requires identifying those components whose failure is likely to impact on system functionality. Clearly, the degree of soft errors tolerance is not the same for all components. Hence, to improve soft errors tolerance, critical components can be flagged and measures can be taken to lower their criticalities...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2001